How to get get config object in a monitor?

Hi,

I have class spi_config in agent, I want to use with variable from this class in monitor_out in env;
where I shoult to set to uvm_config_db and where get it?

thanks in advance

In reply to saraTel:

A good place to set configuration data is in the test. And you can retrieve these data in any other place. The agent is a good place. Then you can pass the configuration data directly to the monitor.

In reply to chr_sue:

thank,
but the monitor in the hierarchy of the environment

Please see the Advanced UVM video course for more explanation of the config database.
You may also find information in the UVM Cookbook.

In reply to saraTel:

Driver,Monitor and sequencer part of agent sit at the same level of hierarchy. Get the configuration class handle in monitor same as you must have done in driver.

In reply to svats:

I have one monitor for input in agent and monitor for output in env

In reply to saraTel:

If you want to complicate your UVM environment you can do this. All others avoid the splitting of monitor functionality.