How to get env handle at scoreboard to get access to some function in env

I have a class(scoreboard) which needs to include a handle to another class (env) which is compiled later in the flow . they are in one package. Because scoreboard is compiled later than env, so there is compile error if I just write as below in scoreboard .

class scoreboard;

env my_env;


endclass

so how to do to get env handle in scoreboard?

thanks

In reply to blair:

For this situation there is the so called forward typedef.
Simply write in front of class scoreboard typedef class env.

typedef class env;
class scoreboard;

The question is where is your scoreboard in your topology? Is it outside of your env? This might not be a good idea.

hi,
chr_sue.
thank you for your solution.

for your question,
my scoreboard is in my env, due to inheritance development, i have to get env handle in scoreboard.