How driver will request each time to sequencer or sequence need different sequence_item on same interface

How driver will request each time to sequencer or sequence need different sequence_item on same interface

In reply to Subbi Reddy:

Could you please explain what you mean.
The driver gets each time it is doing a get or get_next_item a different seq_item.

Yes, each time driver gets different sequence_item

In reply to Subbi Reddy:

And what is your question?

class driver extends uvm_driver#(my_data)
Ex: my_data packet is parameterized when developing the driver code, suppose at the middle of the transactions driver need to get my_data_eth packet, How it will change sequence_item(belongs to either same sequence or different sequence)??

In reply to Subbi Reddy:

Your driver is parameterized for the seq:item type my_data. When performing in the driver get_next_item ot get you get an object of this seq_item type. The objects you are retrieving have always different dat. The sequence which is generating the seq_items has to be parameterized with the sam seq_item type as the driver class.

class my_sequence extends uvm_sequence #(my_data);

In reply to chr_sue:
can we get different sequence_item Without parameterized??

In reply to Subbi Reddy:

You have a sequencer/driver pair as a one-to-one connection.
To generate seq_items you have to define a seq_item class. Additionally you have to provide a sequence which defines how the seq_items will be generated. The sequencer generates the seq_items and the driver pulls them. It is not possible and it makes no senses to generate different seq_item types from a sequence.

In reply to chr_sue:

Thank you