How does clone creat and copy?

do_copy implementation copy source to destination. transaction is cloned using $cast(dest, src.clone).
I am not clear which function create uvm_object ? How does do_copy and create work when src.clone is called?

In reply to superUVM:

The virtual clone method constructs the object, and then calls copy. See importance of the clone( ) method | Verification Academy