How do I display system time in UVM?

We have added these sort of prints to our log as rudimentary profiling to help us understand which parts of the test are taking a long time.

$system(“echo system time: date drive_resets_and_clocks : start”);

$system(“echo system time: date drive_resets_and_clocks : end”);

So in the log we can get a print like this:

system time: Sat May 28 17:56:44 PDT 2022 drive_resets_and_clocks : start
system time: Sat May 28 17:56:49 PDT 2022 drive_resets_and_clocks : end

So we know that driving resets and clocks only takes 5 seconds.

Of course some other functions and tasks take a lot longer, sometimes hours.

My question is, can I get the echo date functionality through UVM prints?
I wish to only print to the log using UVM_INFO

Thanks.

In reply to nimrodw:

THis link may help you

In reply to abdelaali_21:

A simpler approach is using the DPI to call date() directly. DPI date example - EDA Playground