How do I change the verbosity of uvm_reg_predictor messages

I am trying to change the verbosity of messages in “uvm_reg_predictor.svh” with following form:

`uvm_info(“REG_PREDICT”, {“Observed WRITE transaction to register…”}, UVM_HIGH)

I tried using following in “end_of_elaboration” phase in my base test…but none of them worked:

env.reg_predictor.set_report_severity_id_verbosity_hier(UVM_INFO, “REG_PREDICT”, UVM_DEBUG);

uvm_top.set_report_severity_id_verbosity_hier(UVM_INFO, “REG_PREDICT”, UVM_DEBUG);

uvm_top.set_report_id_verbosity_hier(“REG_PREDICT”, UVM_DEBUG);

what am I doing wrong?