How to connect UVM library

Good day, everyone!
I’m very new to UVM, so question might look silly, but how do i use UVM libary in my design?
i use questasim, so there is some default UVM library there (version 1.0, as i remember), but i want to use dowloaded from accellera.org version 1.2.
I’ve just downloaded it (library), and here’s what’s written in “readme” file:

You should define the $UVM_HOME environment variable to that
convenient location using an absolute path name. The following
instructions assume that this variable is appropriately set.

% setenv UVM_HOME /absolute/path/to/convenient/location

You must then obtain from your SystemVerilog tool vendor a tool-specific
distribution overlay.

i did the first step, but what is “tool-specific overlay”, and how do i obtain it?

Since UVM 1.2 is still undergoing review and revisions, you should use UVM 1.1d which is shipped with Questa. The Questa version also contains vendor-specific enhancements which assist with UVM debugging, critical when you are just getting started.

In reply to cgales:

thank you, cgales, for your answer. I downloaded that file, but “readme” is very much alike.
I tried to use tips from that “readme” file (except for the line “You must then obtain from your SystemVerilog tool vendor a tool-specific distribution overlay”, for i don’t understand, what is “distribution overlay”). I made a new progect “hello_world”, and added “uvm.sv” in that project. i also wrote in file properties “+incdir+ $UVM_HOME/src” (i’ve created $UVM_HOME environment variable before doing it). Still, “uvm.sv” cannot be compiled. errors appear, because compilator refers “to ovm-2.1.1” folder (located in qustasim root directory) for some files and these files cannot be compiled (for some reason). what am i doing wrong? Why does compilator, while compiling top uvm file (uvm.sv), refers to some ovm files, which i haven’t dowloded from accellera site?

In reply to eoss:

You don’t need to download anything from the Accellera site, nor do you need to set any environmental variables. Questa contains everything you already need in a pre-compiled form.

All you need to do is use the appropriate import and `include statements for UVM, which are explained in the UVM Cookbook. Questa will automatically import and include the correct files. Do not add uvm.sv to your project.

In reply to cgales:

Yes, but my Questa has uvm-1.0, what if i want to have a later version of it?

In reply to eoss:

What version of Questa are you running? You should be running the latest version which is 10.3c.

In reply to cgales:

I use 10.0b. But why can’t i use later uvm versions on it? Sorry for being so meticulous, but i can’t find solution for this problem anywhere.

In reply to eoss:

I’ve given you the solution. Questa 10.0b is over 3 years old and no longer supported. Since then, there has been a new version of the SystemVerilog LRM released, and the new LRM features are utilized in the newer releases of UVM.

You have two options:

  • Stay with UVM 1.0 and Questa 10.0b
  • Upgrade to Questa 10.3c and use UVM 1.1d.

In reply to cgales:

i see now) thank you, cgales.