How to compile uvm environment using questasim

Hi,

I have a uvm environment that i want to simulate with questasim.
But if i am using vlog -sv -f filelist.f it is giving compilation error.
Do i have to use some specific option for vlog to compile the uvm environment.

I have imported the uvm_pkg in my testbench.

If you have some script for simulation please share .

For specific tool issues, it is highly recommended that you contact your local Mentor support team. They will be able to provide you the support needed to get you running.