How to check error count directly by using uvm_default_report_server

Hello all,

How can i make a decision whether the test_case is pass/fail by using uvm_default_report_server(for uvm 1.2 purpose) instead of open and check in the sim.log file.As of now i am using perl script to open and check each sim.log for getting regression report. can anyone help me to do by using uvm_default_report_server or some other way.

Thanks in advance :)

In reply to Vj_05:

You can use uvm_report_server’s get_severity_count(UVM_ERROR) to check for any errors. This assumes you’ve captured all errors (like from assertions) with UVM messages. Most tools give you ways of checking for the highest severity message generated from anything. You will have to check your tools User Manual for that.

In reply to dave_59:

I will try this way.Thanks :)