How can I see the content of uvm_config_db?

Hi

Is there way to print out what is inside uvm_config_db? I want to know what has been set in the uvm_config_db.

Thanks.
John

check_config_usage(1); // checks which resource has been set and never been used
print_config( bit recurse = 0, bit audit = 0 ); // Prints the configuration at the hierarchy level

If you want to see the full state of the uvm_config_db try inserting:

uvm_config_db #(int)::dump();

in your code. That will reveal the full content.

Also modern debuggers try and show this information in GUI. You need to run till “start_of_simulation_phase” though.

For instance in VCS-DVE one can see it under: The UVM dialog box. From menu click Trace → Debug UVM

HTH
Ajeetha, CVC

In reply to mperyer:

but where to write this??
how my log file will print after writing this??can you give me the example?

You can get more information on uvm_config_db from

  1. UVM Configuration Database
  2. An example @ UVM 1.2 set/get config - EDA Playground

Hope this helps

-BR
Hash