How can I print the hierarchy of the UVM RAL?

Hi,

I’m struggling to find a way to print the UVM RAL hierarchy? Ideally, I want the UVM RAL to print all uvm_reg_block, the uvm_reg in each uvm_reg_block and the detailed information associated with each uvm_reg e.g. field name/field width/register address etc.

Does anyone know if there is a built-in function (or some other ways) in the UVM RAL that can print out these information?

Kind regards,
Po

In reply to Po:

The print() method does this.