How can I print field value present in config_db?

Below code is part of top file. I SET and GET in the top file. I want to print what I get, i.e. the string ‘vlsi’. I don’t know how to refer to the field name so that I can print the field value from the uvm_config_db. Thank you.

initial begin

		uvm_config_db#(string)::set(uvm_root::get(),"*","string_name","vlsi");
		
	end
initial begin

		if (uvm_config_db#(string)::get(uvm_root::get(),"","string_name","vlsi")) begin 

			`uvm_info("In top file", "string_name", UVM_LOW);
			 $display("name = %s",string_name); // error here, unresolved reference to 'string_name'			
		end

		else begin 	
		
			`uvm_fatal("Top","cannot get string name in config_db");
		end 

	end

In reply to rakesh2learn:

In your “get” method, “vlsi” is a string literal, but you should be using a (string) variable. Assuming you know the difference between the two and it was a simple mistake, I would recommend reading this paper:

http://www.verilab.com/files/configdb_dvcon2014.pdf

page 3 discusses the “get” method. Otherwise see section 6 (Data Types) of the ieee 1800 standard.

Also you can use +UVM_CONFIG_DB_TRACE to follow accesses to the config_db (discussed in the paper above)