How can I access Sequences created as part of Sequence Library

For sequences part of UVM Sequence library.
Is there a way to provide inline constraints to UVM Sequence library when these sequences are created

In reply to bsi:
The sequence library of UVM 1.2 is a construct where you can collect existing sequences. Then the seq libs will bestarted resulting in running the sequences included in the lib.
There is no need to add inline constraints to the lib.