How to block the sequencer from user_priority_arbitration function

H,

I have a question on uvm_sequencer’s user_priority_arbitration scheme.

As we all know if uvm_sequencer’s m_arbitration variable is set to SEQ_ARB_USER,
then uvm_sequencer calls user_priority_arbitration function to select the sequence form available sequence pool.

I understand that user_priority_arbitration function has to send a entry from avail_sequences dynamic array.
Now my question is:
Is there a way to block the sequencer from user_priority_arbitration function ?

Please let me know.

Regards
Anirban