How to access a variable which is in driver or scoreboard (or any classes derived from uvm_components) from sequence class?

Hi Everyone,

“How to access a variable which is in driver or scoreboard (or any classes derived from uvm_components) from sequence class?”.
I have googled alot to find the answer for this but still understand. Can anyone explain me how is it possible?

In reply to Zara:

Similar to your previous question, the answer is that you don’t access any external variables from a sequence. The test is responsible for creating a sequence and setting/configuring all variables in the sequence. The sequence should never be dependent on any driver or scoreboard variables as this limits the reusability of the sequence.