Hi I'm trying to support Questa license to verify using vsim simulation, database is already supported in NC cadence, The problem I'm facing is its not supporting uvm

Please find the details of error during complication error,

** Error (suppressible): top_env_mobi.svh(62): (qverilog-2745) Expected #() syntax as parameterized class prefix to ‘::’ near “type_id”.
** Error (suppressible): top_env_mobi.svh(64): (qverilog-2745) Expected #() syntax as parameterized class prefix to ‘::’ near “type_id”.
** Error (suppressible): top_env_mobi.svh(77): (qverilog-2745) Expected #() syntax as parameterized class prefix to ‘::’ near “type_id”.

Since I have used create in drive and sequencer to connect and create two component, in UVM. Please help me to short out my mistake.

In reply to Mobi.Subha:

It is very helpful if you post all of the related code so we can see what you are doing. Without the code, we can only guess.

It looks like you are creating a parameterized class but don’t provide the parameters.