Having mutiple set to uvm_config_db with same string name

For example we have two classes at same hierarchy class A and class B
Im trying to set uvm_config_db same type of variable with same string name

CLASS A ::–

class A;

int x;

uvm_config_db#(int)::set(this,"","x",x);

endclass

CLASS B ::–

class B;

int x;

uvm_config_db#(int)::set(this,"","x",x);

endclass

Then im trying to get uvm_config_db the variable at a lower level of hierarchy class C

CLASS C ::–

class C;

int y;

uvm_config_db#(int)::get(this,"","x",y);

endclass

What will be assigned to y in class C ??

In reply to dhpant28:

It depends where you are instantiating class A and class B. If both objects are on the same level the value from the last set will be retrieved in an object of class C;
If objecta from A and B are on different levels the value from the highest level will be retrieved.