Handshake between the sequence, sequencer and driver

In UVM driver requests to sequencer for sequence items. How can it be done in System Verilog?

UVM is SystemVerilog.

Also, “SystemVerilog” is one word (no space). ;-)

In reply to tech_savvy:

For the details see the UVM Cookbook here
https://verificationacademy.com/cookbook/uvm
and the corresponding code examples here:
https://verificationacademy.com/cookbook/code-examples