Global timeout value

Hi,

           What is the global timeout value for uvm_environment.

How we have to fix that one.
ex - Set_global_timeout()

Regards
Venkatesh

In reply to Muthuvenkatesh:

Global timeout is the maximum limit of simulation execution. This determines the end-of-test timeout. The default value of timeout is set to 9200s.

One can also use run time plusarg +UVM_TIMEOUT=, to set the timeout value.

Refer to this page for more information on UVM_TIMEOUT from command line processor.

In reply to sharvil111:

The current versions of UVM are using set_timeout.
The UVM requires the Implementation of at least 1 objection mechanism to stop the simulation. If something goes wrong with the objections the set_timeout stops the simulation after the time used for this.

In reply to chr_sue:

Agree. I read the doc and corrected the answer. Thanks!