Global parameters through command line

Hi all,

Is there any mechanism in UVM to pass global parameters (elaboration time constant) through command line ?

In reply to Harshit:

The command line processor allows you make configurations and sending data to the UVM Environments. But this happens at runtime 0.
But the Simulators are offering you Options to set Parameters at Elaboration time.

In reply to Harshit:

The UVM does not provide any mechanism to do affect the elaboration process. You will need to look at tool specific options.