Getting uvm test name inside env class

I have a requirement that i have to perform certain task for a particular test in env. Is there a way to find the test name inside the env? I am expecting somehow like this. is that possible?

Snapshot of env class:

task run_phase();

if(get_test_name()=“test1”) begin
do_this();
end
else begin
do_that();
end

endtask:run_phase

I am expecting an function which returns registered test name as string. For instance i used get_test_name. There is no function called get_test_name.

In reply to siva_dv:

Each test name should have a unique test sequence associated with it. These different sequences should handle the different behavior needed.

If you don’t want to follow this methodology, then your can use the $value$plusargs to get the test name.