Get_full_name, get_type_name

Please explain me about get_full_name & get_type_name in UVM. When and why they are used?

In reply to Geetika Reddy:

Hi Geetika,

If you use `uvm_info(get_full_name()…) then it will show the complete hierarchical path starting from tb_top.test.env… and so on till your object type in the output LOG file.

If you use get_type_name() then it will return only the class name irrespective of hierarchy.

If you use get_name() then it will return the string name you defined in the function new() constructor.

For more information, you can refer the uvm1.2 class reference at topic macros.

Thanks,
Mahesh.