Fork join_none

I am calling two tasks inside the run_phase of driver. Is fork … join_none automatically enabled in the run_phase for UVM 1.2? I’m getting unexpected simulation results? I do not want the two task calls to be forked. I want to run the two tasks sequentially. Any leads would help. Thank you!

In reply to piyushkamalakar:
Like any other SystemVerilog task or function, the statements inside the run_phase() method execute sequentially just like a begin/end block. You need to explicitly write a fork/join statement to get parallel threads. Is it possible you have two instances of your driver?

Since you don;t show any code, and we don’t know what the difference between your expected and actual behavior is, cannot help you further.