Field/method name (record_field_int) not in 'recorder'

During compilation of the code i am getting the below error:

Field/method name (record_field_int) not in ‘recorder’

[b]** Error: …/…/counter_uvc//counter_transaction.sv(55): Field/method name (record_field_int) not in ‘recorder’
Can someone explain…What is the meaning of this error?

In reply to bhagvatik:

record_field_int was added in UVM 1.2, so most likely you are compiling newer code using an older UVM library.