Fatal error in uvm

hello friends ,
I get one fatal error bad handle pleas help me.
** Fatal: (SIGSEGV) Bad handle or reference.

Time: 0 ns Iteration: 16 Process: /uvm_pkg::uvm_phase::m_run_phases/fork#2332_ffbf559 File: …/ENV/fifo_env.sv

///for this error code given below

//fifo_env code
class fifo_env extends uvm_env;

`uvm_component_utils (fifo_env)

fifo_agent agent_h;
fifo_sb sb_h;
fifo_vir_seqr fifo_vir_seqr_h;

function new (string name =“fifo_env”,uvm_component parent=null);
super.new(name,parent);
endfunction

function void build_phase(uvm_phase phase);
//super.build_phase(phase);
super.build_phase(phase);
agent_h = fifo_agent::type_id::create(“agent_h”,this);
sb_h = fifo_sb::type_id::create(“sb_h”,this);
fifo_vir_seqr_h = fifo_vir_seqr::type_id::create(“fifo_vir_seqr_h”,this);

endfunction

function void connect_phase(uvm_phase phase);
super.connect_phase(phase);
agent_h.mon_h.analysis_port_mon.connect(sb_h.mon_fifo.analysis_export);
fifo_vir_seqr_h.seqr_h=agent_h.seqr_h1;
endfunction

endclass

In reply to darshil1310:

Your error message points to the run_phase. But you do not show the code for the run_phase.

FIRST THANKS TO CHR_SUE
I FIND MY MISTAKE BUT SECOND FATAL ERROR ARRISE AND IT IS BELOW
UVM_FATAL @ 0: reporter@@req [STRITM] sequence_item has null sequencer

WHAT SHOULD I DO ?
//FIFO_SEQUENCE CODE
class fifo_seqs extends uvm_sequence#(fifo_trans);

`uvm_object_utils(fifo_seqs)
fifo_trans req;

function new(string name=“fifo_seqs”);
super.new(name);
endfunction

task body();
req=fifo_trans::type_id::create(“req”);

//forever
repeat(15) begin
start_item(req);
assert(req.randomize());
`uvm_info(“THIS IS FIFO SEQS”,$sformatf("wr_enb=%d ,rd_enb=%d ,wr_data=%d ,rst=%d ",req.fifo_wr_enb,req.fifo_rd_enb,req.wr_data,req.rst),UVM_MEDIUM);
finish_item(req);
end
endtask

endclass

In reply to darshil1310:

Looks like there is no sequencer to generate seq_items of type fifo_trans.