hello friends ,
I get one fatal error bad handle pleas help me.
** Fatal: (SIGSEGV) Bad handle or reference.
Time: 0 ns Iteration: 16 Process: /uvm_pkg::uvm_phase::m_run_phases/fork#2332_ffbf559 File: …/ENV/fifo_env.sv
///for this error code given below
//fifo_env code
class fifo_env extends uvm_env;
`uvm_component_utils (fifo_env)
fifo_agent agent_h;
fifo_sb sb_h;
fifo_vir_seqr fifo_vir_seqr_h;
function new (string name =“fifo_env”,uvm_component parent=null);
super.new(name,parent);
endfunction
function void build_phase(uvm_phase phase);
//super.build_phase(phase);
super.build_phase(phase);
agent_h = fifo_agent::type_id::create(“agent_h”,this);
sb_h = fifo_sb::type_id::create(“sb_h”,this);
fifo_vir_seqr_h = fifo_vir_seqr::type_id::create(“fifo_vir_seqr_h”,this);
endfunction
function void connect_phase(uvm_phase phase);
super.connect_phase(phase);
agent_h.mon_h.analysis_port_mon.connect(sb_h.mon_fifo.analysis_export);
fifo_vir_seqr_h.seqr_h=agent_h.seqr_h1;
endfunction
endclass