FAIL SIMULATION

Hello,

I’m beginner in UVM, and i try to make a testbench for spi2ahb , i have all files compiled well but fail in SIMULATION step. I have some warning in optimization and elaboration phase, i want to know if these warnings maybe responsible about the failed status for my simulation ?

the UVM_FATAL that i get is : CAN NOT GET VIRTUL INTERFACE AHB

Thanks

In reply to uvm_share:

You need to provide more information. What are the warnings? Which component is printing the FATAL message? What steps have you tried to debug this?

If the fatal error is from a failed call to uvm_config_db, try running with +UVM_CONFIG_DB_TRACE

so the fatal message is resulted by interface ahb, this is my code for configuration of ahb_interface :
if (!uvm_config_db#(virtual ahb_interface) :: get (this, " ", “ahb_interface”, vif1))
`uvm_fatal (“U_TEST”,“CAN NOT GET AHB_VIRTUAL_INTERFACE”)

In reply to uvm_share:

And…??? Where is the output from +UVM_CONFIG_DB_TRACE? Filter the log file for just messages with “ahb”.

Also, your code segment seems to have a space in the middle of the second argument: " ". If that is really what you have, that could be the bug. It should be “”. If not, please be precise if you are asking for help debugging.