Execution interrupted or reached maximum runtime

Trying to run a simple FIFO_UVM on the eda playground.
Could you elaborate on this more please.

In reply to Deepika Murthy:

As a start, you have several significant syntax errors that are ignored by your selected simulator. I recommend that you select the other simulators and ensure that your code compiles since these ignored errors may be the cause of your issue.

After fixing your syntax errors, you can then focus on the correct functionality of your environment.

In reply to Deepika Murthy:

Trying to run a simple FIFO_UVM on the eda playground.
Could you elaborate on this more please.

I have fixed some of your errors. You should insert some diagnostic messages to identfy wher your testbench hangs.