Errors

** Error: (vlog-13069) ** while parsing file included at muxy_top.sv(9)

** while parsing file included at f.svh(2)

** at muxy_confg.sv(1): near “class”: syntax error, unexpected class, expecting ‘;’ or ‘,’.

** Error: ** while parsing file included at muxy_top.sv(9)

** while parsing file included at f.svh(2)

** while parsing macro expansion: ‘uvm_object_utils’ starting at muxy_confg.sv(3)

** at muxy_confg.sv(3): (vlog-2730) Undefined variable: ‘ip_agt_config’.

** Warning: ** while parsing file included at muxy_top.sv(9)

** while parsing file included at f.svh(2)

** while parsing macro expansion: ‘uvm_object_utils’ starting at muxy_confg.sv(3)

** at muxy_confg.sv(3): (vlog-2953) Incorrect usage of keyword ‘static’.

** Error: (vlog-13069) ** while parsing file included at muxy_top.sv(9)

** while parsing file included at f.svh(2)

** while parsing macro expansion: ‘uvm_object_utils’ starting at muxy_confg.sv(3)

** at muxy_confg.sv(3): near “function”: syntax error, unexpected function, expecting IDENTIFIER or TYPE_IDENTIFIER or NETTYPE_IDENTIFIER.

End time: 22:21:56 on Nov 22,2018, Elapsed time: 0:00:03

Errors: 3, Warnings: 1

C:/questasim_10.4e/win32/vlog failed.

im gettin these type of errors
pls help me

In reply to kd:

Looks like you did not import the uvm_pkg and include uvm_macros.svh.