Errors

i get the errors in questasim at the time of compiling
eg nested class not supported yet

In reply to kd:

A “not supported yet” message is tool specific error meaning the tool has not yet implemented a feature. This forum is not for tool specific issues. Please contact you tool vendor and get a newer version.