** Error: (vsim-3601) Iteration limit reached at time 2990 ns

Hi
i got this error, when run the test case and simulator is not terminating.
i am getting the error like this

run -continue

** Error: (vsim-3601) Iteration limit reached at time 2990 ns.

steptrack::findloop2…

Starting tryFindLoop at time 2,990 ns and delta 4949 .

Please suggests to me how can i resolve the problem.

Regards,
Santhosh

You will need to look in the Questa User Manual or search the internet for Detecting Infinite Zero-Delay Loops