Error : No Default value for formal 'parent' in task/function create

Hi,
Question : I am trying to create an object in testcase class. And i am getting the below error. Can some body tell me why is this error coming and how can i use the class like the one shown in the pseudocode.

Error: (vsim-8268) <File_path>(108): No Default value for formal ‘parent’ in task/function create.
Line 108 : abc_tx_object = abc_tx::type_id::create(“abc_tx_object”);

 <pseudocode of testcase file> : 
   class abc_tx extends uvm_sequence_item
     // Register to factory.
     // Constructor new function
        function new(string name = "");
          super.new(name);
        endfunction
     // Declare some variables
     // Constraints
   endclass 

   class testcase extends uvm_test
      // Register to factory
      // Constructor new function         
         abc_tx abc_tx_object

      // declaring env object.
      // build phase
         abc_tx_object = abc_tx::type_id::create("abc_tx_object")
      // connect phase
      // runphase
      // ..
      // ..

   endclass

regards,
Sukruth.

Parents are required when constructing classes derived from uvm_component. You probably used uvm_component_utils by mistake when declaring your abx_tx class. It should use uvm_object_utils.