Error: intf.sv(10): near "ahb_interface": syntax error, unexpected IDENTIFIER, expecting class

Here are the reference for the files
P.S : I attached only the files which are used for the reference

https://www.edaplayground.com/x/62GR

In reply to yethishwar:

Your interface description has to be compiled outside of your package.

you mean to remove the interface file from the package?
But ,then also it is not working

In reply to rajivdesh:

Hi,

It is giving following error with attached link. Please provide sufficient code.

"Error-[NM] No modules defined
No modules defined in current design file(s). "*

In reply to mitesh.patel:

I didnt provide the full code , only provided it for reference ,as the code is very large

In reply to rajivdesh:

To clarify what I mean. This piece of code is from a simple example:

  package apb_pkg;

    `include "apb.svh"

  endpackage : apb_pkg

  `include "apb_if.sv"

Where apb.svh has the following content:

  import uvm_pkg::*;

  // General includes
  `include "uvm_macros.svh"

  // UVC includes
  `include "apb_common.sv"
  `include "apb_seq_item.sv"
  `include "apb_config.sv"
  `include "apb_driver.sv"
  `include "apb_monitor.sv"
  `include "apb_sequencer.sv"
  `include "apb_coverage.sv"
  `include "apb_agent.sv"
  `include "apb_env.sv"
  `include "apb_seq_lib.sv"

You see the interface description is outside of the package definition.