Error casting p_sequencer

Hello,

I’m extending a new sequence from the existing sequence in the UVC. Can you please let me know what is causing this Error:
a_b_pkg::a_b_seq.m_set_p_sequencer uvm_test_top.tb.vseqr.m_test_seq.m_im_b_seq Error casting p_sequencer, please verify that this sequence/sequence item is intended to execute on this type of sequencer

The existing sequence in the UVC has p_sequencer declared and all the connections/setup has no issue as I’m able to simulate a_b_seq without any errors. The issue is only with the newly extended sequence m_im_b_seq. Can you guide how to set the sequencer for the newly extended sequence.

Thanks!

In reply to k95129:

See if this is your problem: Runtime Fatal Error : p_sequencer can't be casting from a parameterized sequencer | Verification Academy