Enable UVM display File Path names

Hi

I want to enable the file Path names in my log.
I commented the define “UVM_REPORT_DISABLE_FILE_lINE” and “UVM_REPORT_DISABLE_FILE”.
Still i could not able to see file path names in my log.

Can anybody please help
Is there any other switches?

Thanks
Ali

In reply to naaj_ila:

I’m not sure what you are looking for, because using the macro `uvm_info is displaying the complete file names (including path) to your logfile. Maybe your simulator is suppressing this.
What is your simulator?

In reply to chr_sue:

In reply to naaj_ila:
I’m not sure what you are looking for, because using the macro `uvm_info is displaying the complete file names (including path) to your logfile. Maybe your simulator is suppressing this.
What is your simulator?

If we add the define “UVM_REPORT_DISABLE_FILE”, it will suppress the file pathnames
It was added in some file.But i commented that , still it is suppressign the filepath
For easy debugging , i need this file path.
Simulator :VCS

In reply to naaj_ila:

You don’t have to set any defines.
Here is an example from my log-file:

UVM_INFO …/tb/apb/sv/apb_seq_lib.sv(97) @ 9000: uvm_test_top.env.apb_env_i.agent.sequencer@@apb_seq [apb_default_seq] default sequence completed

UVM_INFO …/tb/example_top/sv/example_top_seq_lib.sv(106) @ 9000: reporter@@vseq [example_top_default_seq] spi sequence starting

UVM_INFO …/tb/spi/sv/spi_seq_lib.sv(85) @ 9000: uvm_test_top.env.spi_env_i.agent.sequencer@@spi_seq [spi_default_seq] default sequence starting

UVM_INFO …/tb/spi/sv/spi_seq_lib.sv(54) @ 9000: uvm_test_top.env.spi_env_i.agent.sequencer@@spi_seq [spi_default_seq] spi_base_seq

UVM_INFO …/tb/apb/sv/apb_monitor.sv(74) @ 10000: uvm_test_top.env.apb_env_i.agent.monitor [apb_monitor] write trans to ap

Is that something you would like to see?
I have no VCS license and cannot check if we get the same in the VCS log-file.

In reply to naaj_ila:

In reply to chr_sue:
If we add the define “UVM_REPORT_DISABLE_FILE”, it will suppress the file pathnames
It was added in some file.But i commented that , still it is suppressing the filepath

You cannot comment out a
`define
that has been defined earlier. You need to use
`undef UVM_REPORT_DISABLE_FILE

Also, you need to make sure you are using uvm_info or passing FILE and `LINE to uvm_report_info().

In reply to dave_59:

In reply to naaj_ila:
You cannot comment out a
`define
that has been defined earlier. You need to use
`undef UVM_REPORT_DISABLE_FILE

Also, you need to make sure you are using uvm_info or passing FILE and `LINE to uvm_report_info().

Hi Dave,

  1. I tried with Commenting “UVM_REPORT_DISABLE_FILE” -->NOT WORKED

  2. `undef “UVM_REPORT_DISABLE_FILE” → NOT WORKED

  3. Added this define on the top of testcase-->NOT WORKED
    define uvm_info(ID,MSG,VERBOSITY) \ begin \ if (uvm_report_enabled(VERBOSITY,UVM_INFO,ID)) \ uvm_report_info (ID, MSG, VERBOSITY, FILE, __LINE__); \ end Replaced uvm_file and uvm_line with FILE and `LINE respectively

  4. Added this define on the top of testcase–>WORKED
    define uvm_info(ID,MSG,VERBOSITY) \ begin \ if (uvm_report_enabled(VERBOSITY,UVM_INFO,ID)) \ begin\ $display(FILE,__LINE__);\ uvm_report_info (ID, MSG, VERBOSITY, FILE, __LINE__); \ end\ end Replaced uvm_file and uvm_line with FILE and `LINE respectively and added $display .Worked with $display.

I am not sure why uvm_info __FILE__ and LINE is not working
Any guess?

In reply to naaj_ila:

I suggest you contact your vendor for support.