Does uvm1.2 still support raise_objection and drop_objection?

Hello,

I know that in uvm 1.2, calling raise_objection/ drop_objection in sequence would cause an compile error.
Instead, we should use uvm_sequence_base::set_automatic_phase_objection to raise/drop objections automatically.
My question is, other than sequences, if I want to raise/drop objections in other components, what method should I call?

In reply to shuting.yang:
I’m not sure what you mean by “compile error”. raise_objection/drop_objection have always been methods of uvm_phase, which is passed as an argument (phase) to all the uvm_component phase bodies (i.e.
task run_phase(uvm_phase phase)
).

Because of the performance overhead, we strongly recommend just using objections at the component level, such as in your test or scoreboards, rather than repeatedly raising and dropping objections for every sequence.