Do you have an example of using register model?

I’m planning to use a register model in my UVM environment.
Do you have an example on how to use that?

For now I have a set-up but it is giving UVM_ERROR saying that the value yielded is 'h0.
I would like to use uvm_reg_bit_bash.

I found this tutorial for register model and I’m currently studying it.
I just like to share this:
http://cluelogic.com/2012/10/uvm-tutorial-for-candy-lovers-register-abstraction/