Disabling UVM_WARNING reported from Imported Package

Hi,

I am trying to disable UVM_WARNING coming from the imported package.
So far, I added below set_report_severity_id_action_hier in start_of_simulation_phase of uvm_test.
But UVM_WARNING is not being disabled. I guess it’s because the package is not within the hierarchy of uvm_test.

function void base_test::start_of_simulation_phase(uvm_phase phase);
    super.start_of_simulation_phase(phase);

    set_report_severity_id_action_hier(UVM_WARNING, "HELLO_WORLD", UVM_NO_ACTION);
endfunction: start_of_simulation_phase

How should I disable all UVM_WARNING messages of ID “HELLO_WORLD” regardless of hierarchy?

EDIT:

The imported package can be simplified as below.
The package is developed from other team and cannot be changed on my end.

package my_package;
    class my_class;
        // ...

        function void my_function();
            `uvm_warning("HELLO_WORLD", "...")
        endfunction
    endclass

    const my_class u_my_class = my_class::get();
endpackage

Best regards,
Jung Ik Moon

In reply to Jung Ik Moon:

Report settings have nothing to do with the package they come from. If my_class is not derived from umm_component, then all other calls to umm_report_* are global from umm_top. So do

uvm_top.set_report_severity_id_action(UVM_WARNING, "HELLO_WORLD", UVM_NO_ACTION);

In reply to dave_59:

Hi Dave,

I wasn’t clear there. Please ignore that package thing above.
I was trying to say that the class is not derived from uvm_component as you said.
And, yes, set_report_severity_id_action from uvm_top should be used.

Actually I did try that before, but didn’t seem to work for me.
It turns out that the problem was where I was calling the function from.
I was calling it in start_of_simulation_phase before, but it should have been done in build_phase instead for my case.
That is, I was trying to disable the warning when the message was already reported.

Anyways, thanks for the tip on uvm_top.

Best regards,
Jung Ik Moon