Difference between `uvm_create and and creating using typeid

could you please clarify the difference between `uvm_create(sequence/item) and var=sequenceType::type_id::create(“name”")

In reply to sribeeram:
sequenceType::type_id::create(“name”") is what you should use.
`uvm_create(sequence/item) is very inefficient.

In reply to dave_59:

In reply to sribeeram:
sequenceType::type_id::create(“name”") is what you should use.
`uvm_create(sequence/item) is very inefficient.

Hi Dave,

thanks for the reply . could you please let me know why we should use sequenceType::type_id::create(“name”") . why `uvm_create(sequence/item) is very inefficient

In reply to sribeeram:
You can trace through the UVM code and see which method executes more code before the sequence object gets constructed.

In reply to dave_59:

ok thanks I could see the following code executes more code
`uvm_create(sequence/item)