Difference between p_sequencer and m_sequencer

Hi All,

I searched a lot, but not getting practical example and explanation about the difference between m_sequencer and p_sequencer.

Please provide details with examples and explanation and which sequencer to use and when.

In reply to kmishra:

Please see the following thread:
https://verificationacademy.com/forums/uvm/psequencer/msequencer