Difference b/w UVM_NOPRINT and UVM_NODEFPRINT

For registering transaction class properities using macros,we will use
uvm_field_int(data,UVM_ALL_ON | UVM_NOPRINT) uvm_field_int(data,UVM_ALL_ON | UVM_NODEFPRINT)
what is difference between flag argument UVM_NOPRINT and UVM_NODEFPRINT?

In reply to boopalakrishnan:
UVM_NODEFPRINT has been removed from IEEE UVM 1800.2

In reply to dave_59:
Thank you for your response.

Could you please briefly explain what UVM_NODEFPRINT will do?

In reply to boopalakrishnan:

It doesn’t do anything—it was never implemented. It was meant to be “No printing if the field value was the same as its default”, But there is no way to specify a default value through the macros.