Default component constructor

Hi ,
In UVM we are using default constructor for component , in which we are assigning “parent to null


uvm_component parent= null

(Apart from the constructor chaining concepts & setting of parent=null in base class and all other sub class that inherits form that a parent not null needs to be specified. )

Does this have anything to do with the implicit-top “uvm_top” (make all uvm component descendant of uvm_top)?

Thanks in Adv

In reply to bl4ckp3rl :

Yes, if the parent argument passed through the constructor is null, the uvm_component base class will replace null with uvm_top. Only uvm_top ends up with a null parent.