Custom report_server not working with uvm1.2

class my_report_server extends uvm_default_report_server;
`uvm_object_utils(my_report_server)

function new(string name="my_report_server");
    super.new();
    $display( "Constructing report serevr %0s",name);
endfunction : new

virtual function string compose_message( uvm_severity severity,string    name,string id,string message,string filename,int line );

//implemeted own code.

endfunction

endclass

In the build_phase of test_base.

function void build_phase(uvm_phase phase)
my_report_server srv_h = new();
uvm_report_server::set_server(srv_h);
endfunction