Creating the sequence Item

Hi I am a newbie in UVM
I was following this Guide
however I could not understand why it did not define then create the sequence Item
what I see logical is to add these lines of code

1/ under the definition of the virtual interface we define the mem_seq_item of type mem_seq_item
mem_seq_item mem_seq_item;

2/ in the constructor part we need to create our object
mem_seq_item = new ;

this is the link for the Guide

In reply to mariam triki:

Please read the UVM Cookbook section on the Driver/Sequence API. It explains where the driver gets the sequence_item it uses and why it is not necessary to declare it in the driver.

In reply to cgales:

Thank you for the pointing that to me