Creating multiple agent for the same interface

Hey all,
I’m trying to construct an uvm tb to verify a dut that has 3 interfaces of the same protocol, I wonder how i can create this agent ( number of interface ) times?
thanks in advance