Create sequence item using factory

To use a sequence item in a sequence Mentor, A Siemens Business recommends using the factory to create the sequence item, the start_item() task to arbitrate with the sequencer and the finish_item() task to send the randomized/prepared sequence item to the driver connected to the sequencer.

Mentor, A Siemens Business does not recommend using the UVM sequence macros. These macros include the 18 macros which all begin with uvm_do, uvm_send, uvm_create, uvm_rand_send.

Based on the coding guidelines above, `uvm_create is not recommended. So, how can we use factory to create sequence item? Is it using ::type_id::create command? Should this be done in the body task of the sequence, if not, where else?

Thanks.

In reply to UVM_beginner:

You answered your own question.