Create method

why we use this arguement in create method

object_name = clss_type::type_id::create(“object_name”,this);
and why we use type_id here if we dont use type_id what will happen

In reply to taufeeq_khan:

Please see the UVM Cookbook