Could uvm_analysis_export be override when create in factory?

override the item type to be a specific item
define
uvm_analysis_export #(uvm_sequence_item) aep_exp
in base_scb and create aep_exp in build phase of base_scb with factory mode

and then want override it to
uvm_analysis_export #(item_a) aep_exp
in my_scb (my_scb extends base_scb )

item_a extends uvm_sequence_item

=============


class base_scoreboard extends uvm_scoreboard;
uvm_analysis_export #(uvm_sequence_item)   aep_exp;

//...
 function void build_phase(uvm_phase phase);
    super.build_phase(phase);
aep_exp = uvm_analysis_export#(uvm_sequence_item)::type_id::create("aep_exp ", this);
endfunction: build_phase
endclass: base_scoreboard

class my_scoreboard extends base_scoreboard;

//...
 function void build_phase(uvm_phase phase);
    super.build_phase(phase);
  endfunction: build_phase
endclass: my_scoreboard


In reply to designer007:

Two problems with doing this. uvm_analysis_export isn’t register with the factory; it has no create method. And even if it was register, you could not override font=courier] uvm_analysis_export#(uvm_sequence_item) with font=courier] uvm_analysis_export #(item_a); they are different specializations of the same class, not inheritance.