Copy method in UVM

Why will it work and not impact functionality even though copy method is not virtual in UVM?

In reply to A_123:

You are right, the copy method itself is not virtual because it is not intended to override tgis method… The copy method calls the virtual method do_copy which can be overridden.