Constructor in uvm

in constructor we write like,

function new (string name ="virtual seqr ", uvm_component parent = null);
super.new(name, parent);
endfunction

why we pass name and parent to base class?

In reply to zalak patel:

You should look at a basic UVM course as well as the definition of umm_component.