Connect scoreboard to sequencer

Hi all,
In our project, we have a scenario for reactive sequences. When I searched for that, I found “connecting monitor to sequence through sequencer” in many articles.The decoding and comparison logic is done by scoreboard. So, can I connect scoreboard to sequence through sequencer since sb is decoding and sent back to sequence. Depending upon the response, sequence has to generate other packets.Please suggest me.

Thanks in advance,
Roopa

In reply to roopatoms:

If you believe sending data from SB to sequence you cab di this in the same way as sending data from monitor to sequencer/sequence. Please search the forum there is an example provided.

In reply to chr_sue:

can you please give me some examples
what I only found is:
https://verificationacademy.com/forums/uvm/connecting-scoreboard-sequence